p7 test data

p7 test data

Charles Lv7

p7 test data

P7由于无法与Mars对拍,所以debug难度很高,在此分享21级两位巨佬的p7测试数据及CPU对拍结果,并特此鸣谢数据提供者对我p7的巨大帮助!!!。

注:每届p7异常要求不同,tb写法也有差异,所以CPU对拍才是正道(bushi,所以一边写对才是正道!!!

内部异常

本测试方案覆盖所有异常,并未测试中断
Folding code
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
.text
mtc0 $0, $12
ori $at, $0, 0xfffc
#====OV=====
lui $t0, 0x7fff
lui $t1, 0xffff
add $t2, $t0, $t1
sub $t2, $t0, $t1
sub $t2, $t1, $t0
lui $t1, 0x7fff
add $t2, $t0, $t1
ori $t1, $t1, 0xffff
addi $t2, $t1, 0xfffffff0
addi $t1, $t1, 0x0010

#=====SYSCALL=====
syscall

#=====ADEL=====
lui $t1, 0x7fff
jal label1
add $ra, $ra, $t1
label1:
jr $ra
nop
jal label2
addi $ra, $ra, 1
label2:
jr $ra
nop
ori $t0, $0, 0x7f00
ori $t2, $0, 0x7f20
sw $t0, 0($0)
lw $t0, 0($0)
lw $t0, 1($0)
lw $t0, 2($0)
lh $t0, 3($0)
lh $t0, 0($t0)
lh $t0, 2($t0)
lb $t0, 0($t0)
lb $t0, 3($t0)
loop_timer1:
lw $t1, 0($t0)
addi $t0, $t0, 4
bne $t0, $t2, loop_timer1
nop
ori $t0, $0, 0x3000
lw $t0, 0($t0)
lui $t0, 0x7fff
ori $t0, $t0, 0xffff
lw $t0, 1($t0)
lw $t0, -4($0)

#=====ADES=====
sw $0, 1($0)
sw $0, 2($0)
sh $0, 3($0)
sw $0, 4($0)
sh $0, 6($0)
sb $0, 7($0)
ori $t0, $0, 0x7f00
sh $0, 0($t0)
sh $0, 2($t0)
sb $0, 0($t0)
sb $0, 3($t0)
ori $t1, $0, 0x7f30
loop_timer2:
sw $0, 0($t0)
addi $t0, $t0, 4
bne $t0, $t1, loop_timer2
nop
ori $t0, $0, 0x3000
sw $0, 0($t0)
lui $t0, 0x7fff
ori $t0, $t0, 0xffff
sw $0, 1($t0)
sw $0, -1($0)

#=====ALTOGETHER=====
lui $t0, 0x7fff
ori $t1, $t0, 0xffff
sw $0, 0($t0)
addi $t1, $t1, 1
syscall

sw $0, 0($t0)
addi $t1, $t1, 1
nop

sw $0, 0($t0)
addi $t1, $t1, 0
syscall

sw $0, 0($0)
addi $t1, $t1, 1
syscall

lui $t0, 0x8000
addi $t1, $t1, 1
beq $t0, $t1, end
nop

end:
beq $0, $0, end
nop

.ktext 0x4180
_main_handler:
mfc0 $k0, $13
mfc0 $k0, $14
and $k0, $k0, $at
addi $k0, $k0, 4
mtc0 $k0, $14
sb $0, 0x7f20($0)
eret

Folding code
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
42@00003004: $ 1 <= 0000fffc
46@00003008: $ 8 <= 7fff0000
50@0000300c: $ 9 <= ffff0000
54@00003010: $10 <= 7ffe0000
74@00004180: $26 <= 00000030
78@00004184: $26 <= 00003014
86@00004188: $26 <= 00003014
90@0000418c: $26 <= 00003018
110@00003018: $10 <= 80000000
114@0000301c: $ 9 <= 7fff0000
134@00004180: $26 <= 00000030
138@00004184: $26 <= 00003020
146@00004188: $26 <= 00003020
150@0000418c: $26 <= 00003024
170@00003024: $ 9 <= 7fffffff
174@00003028: $10 <= 7fffffef
194@00004180: $26 <= 00000030
198@00004184: $26 <= 0000302c
206@00004188: $26 <= 0000302c
210@0000418c: $26 <= 00003030
246@00004180: $26 <= 00000020
250@00004184: $26 <= 00003030
258@00004188: $26 <= 00003030
262@0000418c: $26 <= 00003034
282@00003034: $ 9 <= 7fff0000
286@00003038: $31 <= 00003040
290@0000303c: $31 <= 7fff3040
322@00004180: $26 <= 00000010
326@00004184: $26 <= 7fff3040
334@00004188: $26 <= 00003040
338@0000418c: $26 <= 00003044
362@00003048: $31 <= 00003050
366@0000304c: $31 <= 00003051
398@00004180: $26 <= 00000010
402@00004184: $26 <= 00003051
410@00004188: $26 <= 00003050
414@0000418c: $26 <= 00003054
438@00003058: $ 8 <= 00007f00
442@00003060: *00000000 <= 00007f00
442@0000305c: $10 <= 00007f20
450@00003064: $ 8 <= 00007f00
470@00004180: $26 <= 00000010
474@00004184: $26 <= 00003068
482@00004188: $26 <= 00003068
486@0000418c: $26 <= 0000306c
522@00004180: $26 <= 00000010
526@00004184: $26 <= 0000306c
534@00004188: $26 <= 0000306c
538@0000418c: $26 <= 00003070
574@00004180: $26 <= 00000010
578@00004184: $26 <= 00003070
586@00004188: $26 <= 00003070
590@0000418c: $26 <= 00003074
626@00004180: $26 <= 00000010
630@00004184: $26 <= 00003074
638@00004188: $26 <= 00003074
642@0000418c: $26 <= 00003078
678@00004180: $26 <= 00000010
682@00004184: $26 <= 00003078
690@00004188: $26 <= 00003078
694@0000418c: $26 <= 0000307c
730@00004180: $26 <= 00000010
734@00004184: $26 <= 0000307c
742@00004188: $26 <= 0000307c
746@0000418c: $26 <= 00003080
782@00004180: $26 <= 00000010
786@00004184: $26 <= 00003080
794@00004188: $26 <= 00003080
798@0000418c: $26 <= 00003084
818@00003084: $ 9 <= 00000000
822@00003088: $ 8 <= 00007f04
838@00003084: $ 9 <= 00000000
842@00003088: $ 8 <= 00007f08
858@00003084: $ 9 <= 00000000
862@00003088: $ 8 <= 00007f0c
894@00004180: $26 <= 00000010
898@00004184: $26 <= 00003084
906@00004188: $26 <= 00003084
910@0000418c: $26 <= 00003088
930@00003088: $ 8 <= 00007f10
946@00003084: $ 9 <= 00000000
950@00003088: $ 8 <= 00007f14
966@00003084: $ 9 <= 00000000
970@00003088: $ 8 <= 00007f18
986@00003084: $ 9 <= 00000000
990@00003088: $ 8 <= 00007f1c
1022@00004180: $26 <= 00000010
1026@00004184: $26 <= 00003084
1034@00004188: $26 <= 00003084
1038@0000418c: $26 <= 00003088
1058@00003088: $ 8 <= 00007f20
1074@00003094: $ 8 <= 00003000
1094@00004180: $26 <= 00000010
1098@00004184: $26 <= 00003098
1106@00004188: $26 <= 00003098
1110@0000418c: $26 <= 0000309c
1130@0000309c: $ 8 <= 7fff0000
1134@000030a0: $ 8 <= 7fffffff
1154@00004180: $26 <= 00000010
1158@00004184: $26 <= 000030a4
1166@00004188: $26 <= 000030a4
1170@0000418c: $26 <= 000030a8
1206@00004180: $26 <= 00000010
1210@00004184: $26 <= 000030a8
1218@00004188: $26 <= 000030a8
1222@0000418c: $26 <= 000030ac
1258@00004180: $26 <= 00000014
1262@00004184: $26 <= 000030ac
1270@00004188: $26 <= 000030ac
1274@0000418c: $26 <= 000030b0
1310@00004180: $26 <= 00000014
1314@00004184: $26 <= 000030b0
1322@00004188: $26 <= 000030b0
1326@0000418c: $26 <= 000030b4
1362@00004180: $26 <= 00000014
1366@00004184: $26 <= 000030b4
1374@00004188: $26 <= 000030b4
1378@0000418c: $26 <= 000030b8
1394@000030b8: *00000004 <= 00000000
1398@000030bc: *00000004 <= 00000000
1402@000030c0: *00000004 <= 00000000
1410@000030c4: $ 8 <= 00007f00
1430@00004180: $26 <= 00000014
1434@00004184: $26 <= 000030c8
1442@00004188: $26 <= 000030c8
1446@0000418c: $26 <= 000030cc
1482@00004180: $26 <= 00000014
1486@00004184: $26 <= 000030cc
1494@00004188: $26 <= 000030cc
1498@0000418c: $26 <= 000030d0
1534@00004180: $26 <= 00000014
1538@00004184: $26 <= 000030d0
1546@00004188: $26 <= 000030d0
1550@0000418c: $26 <= 000030d4
1586@00004180: $26 <= 00000014
1590@00004184: $26 <= 000030d4
1598@00004188: $26 <= 000030d4
1602@0000418c: $26 <= 000030d8
1622@000030d8: $ 9 <= 00007f30
1630@000030e0: $ 8 <= 00007f04
1650@000030e0: $ 8 <= 00007f08
1682@00004180: $26 <= 00000014
1686@00004184: $26 <= 000030dc
1694@00004188: $26 <= 000030dc
1698@0000418c: $26 <= 000030e0
1718@000030e0: $ 8 <= 00007f0c
1750@00004180: $26 <= 00000014
1754@00004184: $26 <= 000030dc
1762@00004188: $26 <= 000030dc
1766@0000418c: $26 <= 000030e0
1786@000030e0: $ 8 <= 00007f10
1806@000030e0: $ 8 <= 00007f14
1826@000030e0: $ 8 <= 00007f18
1858@00004180: $26 <= 00000014
1862@00004184: $26 <= 000030dc
1870@00004188: $26 <= 000030dc
1874@0000418c: $26 <= 000030e0
1894@000030e0: $ 8 <= 00007f1c
1926@00004180: $26 <= 00000014
1930@00004184: $26 <= 000030dc
1938@00004188: $26 <= 000030dc
1942@0000418c: $26 <= 000030e0
1962@000030e0: $ 8 <= 00007f20
1982@000030e0: $ 8 <= 00007f24
2014@00004180: $26 <= 00000014
2018@00004184: $26 <= 000030dc
2026@00004188: $26 <= 000030dc
2030@0000418c: $26 <= 000030e0
2050@000030e0: $ 8 <= 00007f28
2082@00004180: $26 <= 00000014
2086@00004184: $26 <= 000030dc
2094@00004188: $26 <= 000030dc
2098@0000418c: $26 <= 000030e0
2118@000030e0: $ 8 <= 00007f2c
2150@00004180: $26 <= 00000014
2154@00004184: $26 <= 000030dc
2162@00004188: $26 <= 000030dc
2166@0000418c: $26 <= 000030e0
2186@000030e0: $ 8 <= 00007f30
2202@000030ec: $ 8 <= 00003000
2222@00004180: $26 <= 00000014
2226@00004184: $26 <= 000030f0
2234@00004188: $26 <= 000030f0
2238@0000418c: $26 <= 000030f4
2258@000030f4: $ 8 <= 7fff0000
2262@000030f8: $ 8 <= 7fffffff
2282@00004180: $26 <= 00000014
2286@00004184: $26 <= 000030fc
2294@00004188: $26 <= 000030fc
2298@0000418c: $26 <= 00003100
2334@00004180: $26 <= 00000014
2338@00004184: $26 <= 00003100
2346@00004188: $26 <= 00003100
2350@0000418c: $26 <= 00003104
2370@00003104: $ 8 <= 7fff0000
2374@00003108: $ 9 <= 7fffffff
2394@00004180: $26 <= 00000014
2398@00004184: $26 <= 0000310c
2406@00004188: $26 <= 0000310c
2410@0000418c: $26 <= 00003110
2446@00004180: $26 <= 00000030
2450@00004184: $26 <= 00003110
2458@00004188: $26 <= 00003110
2462@0000418c: $26 <= 00003114
2498@00004180: $26 <= 00000020
2502@00004184: $26 <= 00003114
2510@00004188: $26 <= 00003114
2514@0000418c: $26 <= 00003118
2550@00004180: $26 <= 00000014
2554@00004184: $26 <= 00003118
2562@00004188: $26 <= 00003118
2566@0000418c: $26 <= 0000311c
2602@00004180: $26 <= 00000030
2606@00004184: $26 <= 0000311c
2614@00004188: $26 <= 0000311c
2618@0000418c: $26 <= 00003120
2658@00004180: $26 <= 00000014
2662@00004184: $26 <= 00003124
2670@00004188: $26 <= 00003124
2674@0000418c: $26 <= 00003128
2694@00003128: $ 9 <= 7fffffff
2714@00004180: $26 <= 00000020
2718@00004184: $26 <= 0000312c
2726@00004188: $26 <= 0000312c
2730@0000418c: $26 <= 00003130
2746@00003130: *00000000 <= 00000000
2770@00004180: $26 <= 00000030
2774@00004184: $26 <= 00003134
2782@00004188: $26 <= 00003134
2786@0000418c: $26 <= 00003138
2822@00004180: $26 <= 00000020
2826@00004184: $26 <= 00003138
2834@00004188: $26 <= 00003138
2838@0000418c: $26 <= 0000313c
2858@0000313c: $ 8 <= 80000000
2878@00004180: $26 <= 00000030
2882@00004184: $26 <= 00003140
2890@00004188: $26 <= 00003140
2894@0000418c: $26 <= 00003144

外部中断

说明

1
2
3
4
5
6
7
8
9
- 0x3010 宏观pc对应写GRF指令时,给予外部中断
- 0x3014 宏观pc对应store类指令时,给予外部中断
- 0x301c 宏观pc对应mtc0指令时,给予外部中断
- 0x3020 宏观pc对应load类指令时,给予外部中断
- 0x3028 在stall状态下,给予外部中断
- 0x3034 宏观pc对应跳转指令,给予外部中断
- 0x3038 宏观pc对应延迟槽指令且此时存在异常时,给予外部中断
- 0x303c D级是跳转指令时,给予外部中断
- 0x307c stall刷出很多空泡,给予外部中断

其实这种流水pc和BD的方法很不“宏观”,这更像是用了某种技巧达到“宏观”的要求。 还有一种很好的处理思路是:从M级向前回溯,第一个不是空泡的指令就是中断的受害指令,这样有效的把指令空泡区分开,指令就是指令,空泡就是空泡,空泡也不是nop,nop是真正的指令

测试数据

Folding code
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
.text 
lui $1,0xffff
ori $1,$1,0xfc01
mtc0 $1,$12
lui $2,0xffff
#int-grf
ori $2,$2,0x1234
#int-store
sw $2,0($0)
ori $3,$0,0xfc01
#int-mtc0
mtc0 $3,$12
#int-load
lw $4,0($0)
lw $5,0($0)
#int-stall
add $6,$5,$4
lui $7,0x7fff
lui $8,0x7fff
#int-beq
beq $7,$8,label1
#int&exc-BD
add $9,$7,$8
#int-D beq
addi $10,$0,0x0001
addi $11,$0,0x0002
beq $7,$8,label1
nop

label1:
mult $7,$8
syscall
div $7,$8
syscall
mthi $7
syscall
mtlo $8
syscall
mfhi $10
mflo $11
mult $7,$8
beq $7,$8,label2
#int-many nop
mflo $12
addi $12,$0,0x0001
addi $12,$0,0x0002

label2:
addi $13,$0,0x0001

end:
beq $0,$0,end
nop


.ktext 0x4180
main_handler:
mfc0 $26,$13
mfc0 $27,$14
ori $27,$0,0x007c
and $26,$27,$26
beq $0,$26,interrupt
nop
mfc0 $26,$14
add $26,$26,4
mtc0 $26,$14
beq $0,$0,return
nop

interrupt:
ori $27,$0,0x2137
sw $27,0x7f20($0)
beq $0,$0,return
nop

return:
eret
Folding code
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
  `timescale 1ns/1ps

module mips_txt;

reg clk;
reg reset;
reg interrupt;

wire [31:0] macroscopic_pc;

wire [31:0] i_inst_addr;
wire [31:0] i_inst_rdata;

wire [31:0] m_data_addr;
wire [31:0] m_data_rdata;
wire [31:0] m_data_wdata;
wire [3 :0] m_data_byteen;

wire [31:0] m_int_addr;
wire [3 :0] m_int_byteen;

wire [31:0] m_inst_addr;

wire w_grf_we;
wire [4 :0] w_grf_addr;
wire [31:0] w_grf_wdata;

wire [31:0] w_inst_addr;

mips uut(
.clk(clk),
.reset(reset),
.interrupt(interrupt),
.macroscopic_pc(macroscopic_pc),

.i_inst_addr(i_inst_addr),
.i_inst_rdata(i_inst_rdata),

.m_data_addr(m_data_addr),
.m_data_rdata(m_data_rdata),
.m_data_wdata(m_data_wdata),
.m_data_byteen(m_data_byteen),

.m_int_addr(m_int_addr),
.m_int_byteen(m_int_byteen),

.m_inst_addr(m_inst_addr),

.w_grf_we(w_grf_we),
.w_grf_addr(w_grf_addr),
.w_grf_wdata(w_grf_wdata),

.w_inst_addr(w_inst_addr)
);

initial begin
clk <= 0;
reset <= 1;
interrupt <= 0;
#20 reset <= 0;
end

integer i;
reg [31:0] fixed_addr;
reg [31:0] fixed_wdata;
reg [31:0] data[0:4095];
reg [31:0] inst[0:5119];

// ----------- For Instructions -----------

assign m_data_rdata = data[(m_data_addr >> 2) % 5120];
assign i_inst_rdata = inst[((i_inst_addr - 32'h3000) >> 2) % 5120];

initial begin
$readmemh("code.txt", inst);
$readmemh("handler.txt",inst,32'h1180 >> 2);
for (i = 0; i < 5120; i = i + 1) data[i] <= 0;
end



always @(*) begin
fixed_wdata = data[(m_data_addr >> 2) & 4095];
fixed_addr = m_data_addr & 32'hfffffffc;
if (m_data_byteen[3]) fixed_wdata[31:24] = m_data_wdata[31:24];
if (m_data_byteen[2]) fixed_wdata[23:16] = m_data_wdata[23:16];
if (m_data_byteen[1]) fixed_wdata[15: 8] = m_data_wdata[15: 8];
if (m_data_byteen[0]) fixed_wdata[7 : 0] = m_data_wdata[7 : 0];
end

always @(posedge clk) begin
if (~reset) begin
if (w_grf_we && (w_grf_addr != 0)) begin
$display("%d@%h: $%d <= %h",$time,w_inst_addr, w_grf_addr, w_grf_wdata);
end
end
end

always @(posedge clk) begin
if (reset) for (i = 0; i < 4096; i = i + 1) data[i] <= 0;
else if (|m_data_byteen && fixed_addr >> 2 < 4096) begin
data[fixed_addr >> 2] <= fixed_wdata;
$display("%d@%h: *%h <= %h",$time,m_inst_addr, fixed_addr, fixed_wdata);
end
end

// ----------- For Interrupt -----------

wire [31:0] fixed_macroscopic_pc;

assign fixed_macroscopic_pc = macroscopic_pc & 32'hfffffffc;//字对齐

always @(negedge clk) begin
if (reset) begin
interrupt = 0;
end
else begin
if (interrupt) begin
if ((|m_int_byteen) && (m_int_addr & 32'hfffffffc) == 32'h7f20) begin
interrupt = 0;
end
end
end
end

reg [31:0] interrupt_cnt = 100;//可以修改外部中断总数

always @(negedge clk) begin
if (~reset) begin
if (interrupt_cnt == 32'd100 && fixed_macroscopic_pc == 32'h3010) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd99 && fixed_macroscopic_pc == 32'h3014) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd98 && fixed_macroscopic_pc == 32'h301c) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd97 && fixed_macroscopic_pc == 32'h3020) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd96 && fixed_macroscopic_pc == 32'h3028) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd95 && fixed_macroscopic_pc == 32'h3034) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd94 && fixed_macroscopic_pc == 32'h3038) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd93 && fixed_macroscopic_pc == 32'h303c) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
if (interrupt_cnt == 32'd92 && fixed_macroscopic_pc == 32'h307c) begin
interrupt <= 1;
interrupt_cnt <= interrupt_cnt - 1;
end
end
end

always #2 clk <= ~clk;

endmodule
Folding code
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
38@00003000: $ 1 <= ffff0000
42@00003004: $ 1 <= fffffc01
50@0000300c: $ 2 <= ffff0000
70@00004180: $26 <= 00001000
74@00004184: $27 <= 00003010
78@00004188: $27 <= 0000007c
82@0000418c: $26 <= 00000000
98@000041ac: $27 <= 00002137
118@00003010: $ 2 <= ffff1234
138@00004180: $26 <= 00001000
142@00004184: $27 <= 00003014
146@00004188: $27 <= 0000007c
150@0000418c: $26 <= 00000000
166@000041ac: $27 <= 00002137
182@00003014: *00000000 <= ffff1234
190@00003018: $ 3 <= 0000fc01
210@00004180: $26 <= 00001000
214@00004184: $27 <= 0000301c
218@00004188: $27 <= 0000007c
222@0000418c: $26 <= 00000000
238@000041ac: $27 <= 00002137
278@00004180: $26 <= 00001000
282@00004184: $27 <= 00003020
286@00004188: $27 <= 0000007c
290@0000418c: $26 <= 00000000
306@000041ac: $27 <= 00002137
326@00003020: $ 4 <= ffff1234
330@00003024: $ 5 <= ffff1234
350@00004180: $26 <= 00001000
354@00004184: $27 <= 00003028
358@00004188: $27 <= 0000007c
362@0000418c: $26 <= 00000000
378@000041ac: $27 <= 00002137
398@00003028: $ 6 <= fffe2468
402@0000302c: $ 7 <= 7fff0000
406@00003030: $ 8 <= 7fff0000
426@00004180: $26 <= 00001000
430@00004184: $27 <= 00003034
434@00004188: $27 <= 0000007c
438@0000418c: $26 <= 00000000
454@000041ac: $27 <= 00002137
494@00004180: $26 <= 80001000
498@00004184: $27 <= 00003034
502@00004188: $27 <= 0000007c
506@0000418c: $26 <= 00000000
522@000041ac: $27 <= 00002137
562@00004180: $26 <= 80000030
566@00004184: $27 <= 00003034
570@00004188: $27 <= 0000007c
574@0000418c: $26 <= 00000030
590@00004198: $26 <= 00003034
598@0000419c: $26 <= 00003038
634@00004180: $26 <= 00000030
638@00004184: $27 <= 00003038
642@00004188: $27 <= 0000007c
646@0000418c: $26 <= 00000030
662@00004198: $26 <= 00003038
670@0000419c: $26 <= 0000303c
706@00004180: $26 <= 00001000
710@00004184: $27 <= 0000303c
714@00004188: $27 <= 0000007c
718@0000418c: $26 <= 00000000
734@000041ac: $27 <= 00002137
754@0000303c: $10 <= 00000001
758@00003040: $11 <= 00000002
790@00004180: $26 <= 00000020
794@00004184: $27 <= 00003050
798@00004188: $27 <= 0000007c
802@0000418c: $26 <= 00000020
818@00004198: $26 <= 00003050
826@0000419c: $26 <= 00003054
866@00004180: $26 <= 00000020
870@00004184: $27 <= 00003058
874@00004188: $27 <= 0000007c
878@0000418c: $26 <= 00000020
894@00004198: $26 <= 00003058
902@0000419c: $26 <= 0000305c
942@00004180: $26 <= 00000020
946@00004184: $27 <= 00003060
950@00004188: $27 <= 0000007c
954@0000418c: $26 <= 00000020
970@00004198: $26 <= 00003060
978@0000419c: $26 <= 00003064
1018@00004180: $26 <= 00000020
1022@00004184: $27 <= 00003068
1026@00004188: $27 <= 0000007c
1030@0000418c: $26 <= 00000020
1046@00004198: $26 <= 00003068
1054@0000419c: $26 <= 0000306c
1074@0000306c: $10 <= 7fff0000
1078@00003070: $11 <= 7fff0000
1106@00004180: $26 <= 80001000
1110@00004184: $27 <= 00003078
1114@00004188: $27 <= 0000007c
1118@0000418c: $26 <= 00000000
1134@000041ac: $27 <= 00002137
1158@0000307c: $12 <= 00000000
1162@00003088: $13 <= 00000001
  • Title: p7 test data
  • Author: Charles
  • Created at : 2022-12-26 20:51:39
  • Updated at : 2023-11-05 21:36:02
  • Link: https://charles2530.github.io/2022/12/26/p7-test-data/
  • License: This work is licensed under CC BY-NC-SA 4.0.
Comments